1 -------------------------------------------------------------------------
\r
3 -- Filename: video_memory.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- Video memory entity declaraton
\r
10 -------------------------------------------------------------------------
\r
13 use ieee.std_logic_1164.all;
15 entity video_memory is
19 ROW_ADDR_WIDTH : integer;
20 COL_ADDR_WIDTH : integer
24 vga_clk : in std_logic;
25 vga_row_address : in std_logic_vector(ROW_ADDR_WIDTH - 1 downto 0);
26 vga_col_address : in std_logic_vector(COL_ADDR_WIDTH - 1 downto 0);
27 vga_data : out std_logic_vector(DATA_WIDTH - 1 downto 0);
28 sm_row_address : in std_logic_vector(ROW_ADDR_WIDTH - 1 downto 0);
29 sm_col_address : in std_logic_vector(COL_ADDR_WIDTH - 1 downto 0);
30 sm_data : in std_logic_vector(DATA_WIDTH - 1 downto 0);
32 sm_scroll_address : in std_logic_vector(ROW_ADDR_WIDTH - 1 downto 0)
34 end entity video_memory;