1 -------------------------------------------------------------------------
\r
3 -- Filename: font_pkg.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- Constant declaration of the font ROM. The number of
\r
9 -- defined encodings, the character dimension and the
\r
10 -- encoding itself are defiend in this package.
\r
12 -------------------------------------------------------------------------
\r
15 use ieee.std_logic_1164.all;
16 use ieee.numeric_std.all;
17 use work.textmode_vga_pkg.all;
18 use work.math_pkg.all;
21 constant CHAR_COUNT : integer := 256;
22 constant CHAR_WIDTH : integer := 8;
23 constant CHAR_HEIGHT : integer := 16;
24 constant COLUMN_COUNT : integer := PIXEL_WIDTH / CHAR_WIDTH;
25 constant LINE_COUNT : integer := PIXEL_HEIGHT / CHAR_HEIGHT;
26 type FONT_TYPE is array (0 to 2 ** (log2c(CHAR_COUNT) + log2c(CHAR_HEIGHT)) - 1) of std_logic_vector(0 to CHAR_WIDTH - 1);
27 constant FONT_TABLE : FONT_TYPE :=
4126 end package font_pkg;