1 -------------------------------------------------------------------------
\r
3 -- Filename: ps2_keyboard_controller.vhd
\r
6 -- Short Description:
\r
7 -- ==================
\r
8 -- PS/2 keyboard controller entity declaration.
\r
10 -------------------------------------------------------------------------
\r
13 use ieee.std_logic_1164.all;
\r
15 entity ps2_keyboard_controller is
\r
18 -- System clock frequency
\r
20 -- Number of stages used in synchronizers
\r
21 SYNC_STAGES : integer
\r
25 -- User logic interface
\r
26 sys_clk, sys_res_n : in std_logic;
\r
27 new_data : out std_logic;
\r
28 data : out std_logic_vector(7 downto 0);
\r
30 -- External PS/2 interface
\r
31 ps2_clk, ps2_data : inout std_logic
\r
33 end entity ps2_keyboard_controller;
\r