2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
7 subtype alu_ops is std_logic_vector(2 downto 0);
8 constant ALU_NOP : alu_ops := "000";
9 constant ALU_SUB : alu_ops := "001";
10 constant ALU_ADD : alu_ops := "010";
11 constant ALU_MUL : alu_ops := "011";
12 constant ALU_DIV : alu_ops := "100";
13 constant ALU_DONE : alu_ops := "101";
15 constant CBITS : integer := 32;
16 subtype csigned is signed((CBITS-1) downto 0);
17 subtype divinteger is integer range -33 to 33;
18 -- integer ist 32bit (31bit + sign)
19 subtype cinteger is integer;
22 constant H_RAM_SIZE : integer := 142;
23 -- danach: 50 * 71 * 2 = 7100
24 -- constant H_RAM_SIZE : integer := 7100;
25 constant H_RAM_WIDTH : integer := log2c(H_RAM_SIZE);
26 subtype hspalte is std_logic_vector(6 downto 0);
27 subtype hzeile is std_logic_vector(4 downto 0);
28 subtype hbyte is std_logic_vector(7 downto 0);
29 subtype hstring is string(1 to 72);
30 subtype hstr_int is integer range 0 to 72;
32 function find_msb(a : csigned) return divinteger;
33 procedure icwait(signal clk_i : IN std_logic; cycles: natural);
35 -- http://www.marjorie.de/ps2/scancode-set2.htm
36 constant SC_KP_0 : std_logic_vector(7 downto 0) := x"70";
37 constant SC_KP_1 : std_logic_vector(7 downto 0) := x"69";
38 constant SC_KP_2 : std_logic_vector(7 downto 0) := x"72";
39 constant SC_KP_3 : std_logic_vector(7 downto 0) := x"7a";
40 constant SC_KP_4 : std_logic_vector(7 downto 0) := x"6b";
41 constant SC_KP_5 : std_logic_vector(7 downto 0) := x"73";
42 constant SC_KP_6 : std_logic_vector(7 downto 0) := x"74";
43 constant SC_KP_7 : std_logic_vector(7 downto 0) := x"6c";
44 constant SC_KP_8 : std_logic_vector(7 downto 0) := x"75";
45 constant SC_KP_9 : std_logic_vector(7 downto 0) := x"7d";
47 constant SC_KP_PLUS : std_logic_vector(7 downto 0) := x"79";
48 constant SC_KP_MINUS : std_logic_vector(7 downto 0) := x"7b";
49 constant SC_KP_MUL : std_logic_vector(7 downto 0) := x"7c";
50 constant SC_KP_DIV : std_logic_vector(7 downto 0) := x"4a"; -- inkl. 0xe0!
52 constant SC_ENTER : std_logic_vector(7 downto 0) := x"5a";
53 constant SC_KP_ENTER : std_logic_vector(7 downto 0) := x"5a"; -- inkl. 0xe0!
54 constant SC_BKSP : std_logic_vector(7 downto 0) := x"66";
55 constant SC_SPACE : std_logic_vector(7 downto 0) := x"29";
58 package body gen_pkg is
59 function find_msb(a : csigned) return divinteger is
60 variable r : divinteger := 0;
62 for i in (CBITS-1) downto 0 loop
67 end function find_msb;
69 procedure icwait(signal clk_i : IN std_logic; cycles: Natural) is
71 for i in 1 to cycles loop
72 wait until clk_i= '0' and clk_i'event;
75 end package body gen_pkg;