2 use ieee.std_logic_1164.all;
3 use ieee.numeric_std.all;
5 use work.textmode_vga_component_pkg.all;
6 use work.textmode_vga_pkg.all;
7 use work.textmode_vga_platform_dependent_pkg.all;
8 use work.ps2_keyboard_controller_pkg.all;
12 sys_clk : in std_logic;
13 sys_res_n : in std_logic;
19 vsync_n : out std_logic;
20 hsync_n : out std_logic;
21 r : out std_logic_vector(RED_BITS - 1 downto 0);
22 g : out std_logic_vector(GREEN_BITS - 1 downto 0);
23 b : out std_logic_vector(BLUE_BITS - 1 downto 0);
25 ps2_clk : inout std_logic;
26 ps2_data : inout std_logic
30 architecture top of calc is
32 signal new_data : std_logic;
33 signal data : std_logic_vector(7 downto 0);
35 signal vga_clk, free : std_logic;
37 signal command : std_logic_vector(COMMAND_SIZE - 1 downto 0);
38 signal command_data : std_logic_vector(3 * COLOR_SIZE + CHAR_SIZE -1 downto 0);
40 signal d_new_eingabe, d_new_result : std_logic;
41 signal d_zeile : hzeile;
42 signal d_spalte : hspalte;
43 signal d_get, d_done : std_logic;
44 signal d_char : hbyte;
46 signal s_char : hbyte;
47 signal s_take, s_done, s_backspace : std_logic;
49 -- tmp: history<>scanner
50 signal do_it, finished : std_logic;
53 textmode_vga_inst : entity work.textmode_vga(struct)
55 VGA_CLK_FREQ => 25000000,
56 BLINK_INTERVAL_MS => 500,
61 sys_res_n => sys_res_n,
63 command_data => command_data,
66 vga_res_n => sys_res_n,
75 vpll_inst : entity work.vpll(syn)
82 display_inst : entity work.display(beh)
85 sys_res_n => sys_res_n,
87 d_new_eingabe => d_new_eingabe,
88 d_new_result => d_new_result,
96 command_data => command_data,
101 history_inst : entity work.history(beh)
104 sys_res_n => sys_res_n,
109 s_backspace => s_backspace,
111 d_new_eingabe => d_new_eingabe,
112 d_new_result => d_new_result,
114 d_spalte => d_spalte,
124 scanner_inst : entity work.scanner(beh)
127 sys_res_n => sys_res_n,
129 new_data => new_data,
135 s_backspace => s_backspace,
136 -- TODO: parser. temporaer mit history verbunden
142 ps2_inst : entity work.ps2_keyboard_controller(beh)
144 CLK_FREQ => 33330000,
149 sys_res_n => sys_res_n,
151 new_data => new_data,
156 end architecture top;