1 -- TODO: dient im moment nur als "fake top entity"
3 use ieee.std_logic_1164.all;
4 use ieee.numeric_std.all;
10 sys_clk : in std_logic;
11 sys_res_n : in std_logic
15 architecture top of calc is
19 sys_clk : in std_logic;
20 sys_res_n : in std_logic;
25 do_calc : in std_logic;
26 calc_done : out std_logic
30 signal do_calc, calc_done : std_logic;
31 signal opcode : alu_ops;
32 signal op1, op2, op3 : csigned;
38 sys_res_n => sys_res_n,
40 calc_done => calc_done,
47 process (sys_clk, sys_res_n)
49 if sys_res_n = '0' then
50 op1 <= (others => '0');
52 op2 <= (others => '0');
54 elsif rising_edge(sys_clk) then
57 op2 <= to_signed(2,CBITS);