projects
/
hwmod.git
/ blob
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
|
commitdiff
|
tree
history
|
raw
|
HEAD
uart_rx: ein prozessmodell. spart weitere 3 logic elements :P
[hwmod.git]
/
debouncing
/
src
/
sync_pkg.vhd
1
library ieee;
\r
2
use ieee.std_logic_1164.all;
\r
3
\r
4
package sync_pkg is
\r
5
component sync is
\r
6
generic
\r
7
(
\r
8
SYNC_STAGES : integer range 2 to integer'high;
\r
9
RESET_VALUE : std_logic
\r
10
);
\r
11
port
\r
12
(
\r
13
sys_clk : in std_logic;
\r
14
sys_res_n : in std_logic;
\r
15
data_in : in std_logic;
\r
16
data_out : out std_logic
\r
17
);
\r
18
end component sync;
\r
19
end package sync_pkg;