2 use ieee.std_logic_1164.all;
\r
4 entity event_counter is
\r
7 CNT_WIDTH : integer range 4 to integer'high;
\r
8 RESET_VALUE : std_logic
\r
12 sys_clk : in std_logic;
\r
13 sys_res_n : in std_logic;
\r
14 sense : in std_logic;
\r
15 cnt : out std_logic_vector(CNT_WIDTH - 1 downto 0)
\r
17 end entity event_counter;
\r