2 use ieee.std_logic_1164.all;
\r
8 TIMEOUT : time range 100 us to 100 ms := 1 ms;
\r
9 RESET_VALUE : std_logic := '0';
\r
10 SYNC_STAGES : integer range 2 to integer'high
\r
14 sys_clk : in std_logic;
\r
15 sys_res_n : in std_logic;
\r
17 data_in : in std_logic;
\r
18 data_out : out std_logic
\r
20 end entity debounce;
\r