2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
7 ADDR_WIDTH : integer range 1 to integer'high;
8 DATA_WIDTH : integer range 1 to integer'high
14 rd_addr : in std_logic_vector(ADDR_WIDTH-1 downto 0);
16 data_out : out std_logic_vector(DATA_WIDTH-1 downto 0)