2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
6 use work.common_pkg.all;
13 RESET_VALUE : std_logic;
24 instruction : in instruction_word_t;
25 prog_cnt : in instruction_addr_t;
26 reg_w_addr : in std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
27 reg_wr_data : in gp_register_t;
28 reg_we : in std_logic;
32 -- reg1_rd_data : out gp_register_t;
33 -- reg2_rd_data : out gp_register_t;
34 branch_prediction_res : out instruction_word_t;
35 branch_prediction_bit : out std_logic;
37 to_next_stage : out dec_op