3 use IEEE.std_logic_1164.all;
4 use IEEE.numeric_std.all;
6 use work.common_pkg.all;
10 component fetch_stage is
13 RESET_VALUE : std_logic;
24 jump_result : in instruction_addr_t;
25 prediction_result : in instruction_addr_t;
26 branch_prediction_bit : in std_logic;
27 alu_jump_bit : in std_logic;
30 instruction : out instruction_word_t
33 end component fetch_stage;
37 component decode_stage is
40 RESET_VALUE : std_logic;
51 instruction : in instruction_word_t;
52 reg_w_addr : in std_logic_vector(REG_ADDR_WIDTH-1 downto 0);
53 reg_wr_data : in gp_register_t;
54 reg_we : in std_logic;
57 -- reg1_rd_data : out gp_register_t;
58 -- reg2_rd_data : out gp_register_t;
59 branch_prediction_res : out instruction_word_t;
60 branch_prediction_bit : out std_logic;
61 to_next_stage : out dec_op
63 end component decode_stage;
69 instruction : in instruction_word_t;
70 instr_spl : out instruction_rec
74 end component decoder;
76 component execute_stage is
80 RESET_VALUE : std_logic
82 --LOGIC_ACT : std_logic;
89 dec_instr : in dec_op;
92 result : out gp_register_t;--reg
93 result_addr : out gp_addr_t;--reg
94 addr : out word_t; --memaddr
95 data : out gp_register_t; --mem data --ureg
96 alu_jump : out std_logic;--reg
97 brpr : out std_logic; --reg
98 wr_en : out std_logic;--regop --reg
99 dmem : out std_logic;--memop
100 dmem_write_en : out std_logic;
101 hword : out std_logic;
102 byte_s : out std_logic
104 end component execute_stage;
108 component writeback_stage is
110 -- active reset value
111 RESET_VALUE : std_logic;
112 -- active logic value
113 LOGIC_ACT : std_logic
119 reset : in std_logic;
121 result : in gp_register_t; --reg (alu result or jumpaddr)
122 result_addr : in gp_addr_t; --reg
123 address : in word_t; --ureg
124 ram_data : in word_t; --ureg
125 alu_jmp : in std_logic; --reg
126 br_pred : in std_logic; --reg
127 write_en : in std_logic; --reg (register file)
128 dmem_en : in std_logic; --ureg (jump addr in mem or in address)
129 dmem_write_en : in std_logic; --ureg
130 hword : in std_logic; --ureg
131 byte_s : in std_logic; --ureg
133 regfile_val : out gp_register_t;
134 reg_we : out std_logic;
135 reg_addr : out gp_addr_t;
136 jump_addr : out instruction_addr_t;
139 end component writeback_stage;
143 end package core_pkg;