1 -------------------------------------------------------------------------------
\r
2 -- Title : vga_control entity
\r
3 -- Project : LU Digital Design
\r
4 -------------------------------------------------------------------------------
\r
5 -- File : vga_control_ent.vhd
\r
6 -- Author : Thomas Handl
\r
8 -- Created : 2004-12-15
\r
9 -- Last update: 2006-02-24
\r
10 -------------------------------------------------------------------------------
\r
11 -- Description: generation of colors (RGB)
\r
12 -------------------------------------------------------------------------------
\r
13 -- Copyright (c) 2004 TU Wien
\r
14 -------------------------------------------------------------------------------
\r
16 -- Date Version Author Description
\r
17 -- 2004-12-15 1.0 handl Created
\r
18 -- 2006-02-24 2.0 ST revised
\r
19 -------------------------------------------------------------------------------
\r
21 -------------------------------------------------------------------------------
\r
23 -------------------------------------------------------------------------------
\r
26 use IEEE.std_logic_1164.all;
\r
27 use IEEE.std_logic_unsigned.all;
\r
28 use IEEE.std_logic_arith.all;
\r
30 use work.vga_pak.all;
\r
32 -------------------------------------------------------------------------------
\r
34 -------------------------------------------------------------------------------
\r
37 entity vga_control is
\r
38 port(clk : in std_logic;
\r
39 reset : in std_logic;
\r
40 column_counter : in std_logic_vector(COL_CNT_WIDTH-1 downto 0);
\r
41 toggle_counter : out std_logic_vector(TOG_CNT_WIDTH-1 downto 0);
\r
42 toggle : out std_logic;
\r
43 line_counter : in std_logic_vector(LINE_CNT_WIDTH-1 downto 0);
\r
44 v_enable : in std_logic;
\r
45 h_enable : in std_logic;
\r
46 r, g, b : out std_logic
\r
51 -------------------------------------------------------------------------------
\r
53 -------------------------------------------------------------------------------
\r