vga/ps/2: ip-core hinzugefuegt
[hwmod.git] / src / textmode_vga / font_rom.vhd
diff --git a/src/textmode_vga/font_rom.vhd b/src/textmode_vga/font_rom.vhd
new file mode 100644 (file)
index 0000000..ddbfc97
--- /dev/null
@@ -0,0 +1,25 @@
+-------------------------------------------------------------------------\r
+--\r
+-- Filename: font_rom.vhd\r
+-- =========\r
+--\r
+-- Short Description:\r
+-- ==================\r
+--   Font ROM entity declaraton\r
+--\r
+-------------------------------------------------------------------------\r
+\r
+library ieee;
+use ieee.std_logic_1164.all;
+use work.font_pkg.all;
+use work.math_pkg.all;
+
+entity font_rom is
+  port
+  (
+    vga_clk : in std_logic;
+    char : in std_logic_vector(log2c(CHAR_COUNT) - 1 downto 0);
+    char_height_pixel : in std_logic_vector(log2c(CHAR_HEIGHT) - 1 downto 0);
+    decoded_char : out std_logic_vector(0 to CHAR_WIDTH - 1)
+  );
+end entity font_rom;