vga/ps/2: ip-core hinzugefuegt
[hwmod.git] / src / ps2 / ps2_transceiver_pkg.vhd
diff --git a/src/ps2/ps2_transceiver_pkg.vhd b/src/ps2/ps2_transceiver_pkg.vhd
new file mode 100644 (file)
index 0000000..5b29633
--- /dev/null
@@ -0,0 +1,37 @@
+-------------------------------------------------------------------------\r
+--\r
+-- Filename: ps2_transceiver_pkg.vhd\r
+-- =========\r
+--\r
+-- Short Description:\r
+-- ==================\r
+--   Component declaration of the PS/2 transceiver\r
+--\r
+-------------------------------------------------------------------------\r
+\r
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+package ps2_transceiver_pkg is\r
+  component ps2_transceiver is
+    generic
+    (
+      CLK_FREQ : integer;\r
+      SYNC_STAGES : integer
+    );
+    port
+    (
+      sys_clk, sys_res_n       : in    std_logic;
+      
+      ps2_clk, ps2_data        : inout std_logic;
+      
+      send_request             : in    std_logic;
+      input_data               : in    std_logic_vector(7 downto 0);
+      input_data_send_ok       : out   std_logic;
+      input_data_send_finished : out   std_logic;
+      
+      output_data              : out   std_logic_vector(7 downto 0);
+      new_data                 : out   std_logic
+    );
+  end component ps2_transceiver;\r
+end package ps2_transceiver_pkg;\r