vga/ps/2: ip-core hinzugefuegt
[hwmod.git] / src / ps2 / ps2_transceiver.vhd
diff --git a/src/ps2/ps2_transceiver.vhd b/src/ps2/ps2_transceiver.vhd
new file mode 100644 (file)
index 0000000..2768d3e
--- /dev/null
@@ -0,0 +1,35 @@
+-------------------------------------------------------------------------\r
+--\r
+-- Filename: ps2_transceiver.vhd\r
+-- =========\r
+--\r
+-- Short Description:\r
+-- ==================\r
+--   PS/2 transceiver entity\r
+--\r
+-------------------------------------------------------------------------\r
+\r
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+entity ps2_transceiver is\r
+  generic\r
+  (\r
+    CLK_FREQ : integer;\r
+    SYNC_STAGES : integer\r
+  );\r
+  port\r
+  (\r
+    sys_clk, sys_res_n       : in    std_logic;\r
+    \r
+    ps2_clk, ps2_data        : inout std_logic;\r
+    \r
+    send_request             : in    std_logic;\r
+    input_data               : in    std_logic_vector(7 downto 0);\r
+    input_data_send_ok       : out   std_logic;\r
+    input_data_send_finished : out   std_logic;\r
+    \r
+    output_data              : out   std_logic_vector(7 downto 0);\r
+    new_data                 : out   std_logic\r
+  );\r
+end entity ps2_transceiver;\r