vga/ps/2: ip-core hinzugefuegt
[hwmod.git] / src / ps2 / ps2_keyboard_controller_pkg.vhd
diff --git a/src/ps2/ps2_keyboard_controller_pkg.vhd b/src/ps2/ps2_keyboard_controller_pkg.vhd
new file mode 100644 (file)
index 0000000..c0b4906
--- /dev/null
@@ -0,0 +1,31 @@
+-------------------------------------------------------------------------\r
+--\r
+-- Filename: ps2_keyboard_controller_pkg.vhd\r
+-- =========\r
+--\r
+-- Short Description:\r
+-- ==================\r
+--   Component declaration of the PS/2 keyboard controller\r
+--\r
+-------------------------------------------------------------------------\r
+\r
+library ieee;
+use ieee.std_logic_1164.all;
+
+package ps2_keyboard_controller_pkg is
+  component ps2_keyboard_controller is
+    generic
+    (
+      CLK_FREQ : integer;\r
+      SYNC_STAGES : integer
+    );
+    port
+    (
+      sys_clk, sys_res_n : in std_logic;
+      
+      ps2_clk, ps2_data : inout std_logic;
+      new_data : out std_logic;
+      data : out std_logic_vector(7 downto 0)
+    );
+  end component ps2_keyboard_controller;
+end package ps2_keyboard_controller_pkg;