--- /dev/null
+-------------------------------------------------------------------------\r
+--\r
+-- Filename: ps2_keyboard_controller.vhd\r
+-- =========\r
+--\r
+-- Short Description:\r
+-- ==================\r
+-- PS/2 keyboard controller entity declaration.\r
+--\r
+-------------------------------------------------------------------------\r
+\r
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+entity ps2_keyboard_controller is\r
+ generic\r
+ (\r
+ -- System clock frequency\r
+ CLK_FREQ : integer;\r
+ -- Number of stages used in synchronizers\r
+ SYNC_STAGES : integer\r
+ );\r
+ port\r
+ (\r
+ -- User logic interface\r
+ sys_clk, sys_res_n : in std_logic;\r
+ new_data : out std_logic;\r
+ data : out std_logic_vector(7 downto 0);\r
+ \r
+ -- External PS/2 interface\r
+ ps2_clk, ps2_data : inout std_logic\r
+ );\r
+end entity ps2_keyboard_controller;\r