end component parser;
component scanner is
- port
- (
+ port (
sys_clk : in std_logic;
sys_res_n : in std_logic;
-- PS/2
port (
sys_clk : in std_logic;
sys_res_n : in std_logic;
-
--button
btn_a : in std_logic;
-
--uart_tx
tx_data : out std_logic_vector(7 downto 0);
tx_new : out std_logic;
tx_done : in std_logic;
-
--uart_rx
rx_data : in std_logic_vector(7 downto 0);
rx_new : in std_logic;
-
-- History
pc_zeile : out hzeile;
pc_spalte : out hspalte;