debouncing sys_res_n
[hwmod.git] / src / debouncing / debounce_top.vhd
diff --git a/src/debouncing/debounce_top.vhd b/src/debouncing/debounce_top.vhd
new file mode 100644 (file)
index 0000000..0152c5c
--- /dev/null
@@ -0,0 +1,13 @@
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+entity debounce_top is\r
+  port\r
+  (\r
+    sys_clk : in std_logic;\r
+    sys_res_n : in std_logic;\r
+    btn_a : in std_logic;\r
+    seg_a : out std_logic_vector(6 downto 0);\r
+    seg_b : out std_logic_vector(6 downto 0)\r
+  );\r
+end entity debounce_top;\r