--- /dev/null
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+entity debounce_top is\r
+ port\r
+ (\r
+ sys_clk : in std_logic;\r
+ sys_res_n : in std_logic;\r
+ btn_a : in std_logic;\r
+ seg_a : out std_logic_vector(6 downto 0);\r
+ seg_b : out std_logic_vector(6 downto 0)\r
+ );\r
+end entity debounce_top;\r