debouncing sys_res_n
[hwmod.git] / src / debouncing / debounce.vhd
diff --git a/src/debouncing/debounce.vhd b/src/debouncing/debounce.vhd
new file mode 100644 (file)
index 0000000..79a8d26
--- /dev/null
@@ -0,0 +1,20 @@
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+entity debounce is\r
+  generic\r
+  (\r
+    CLK_FREQ    : integer;\r
+    TIMEOUT     : time range 100 us to 100 ms := 1 ms;\r
+    RESET_VALUE : std_logic := '0';\r
+    SYNC_STAGES : integer range 2 to integer'high\r
+  );\r
+  port\r
+  (\r
+    sys_clk : in std_logic;\r
+    sys_res_n : in std_logic;\r
+\r
+    data_in : in std_logic;\r
+    data_out : out std_logic\r
+  );\r
+end entity debounce;\r