parser: erste gehversuche. im moment wird die eingabe einfach zurueckgegeben zur...
[hwmod.git] / src / alu.vhd
index caff4958e91f011f31ee3def3a854fe3ad9f6db9..cdb9fdd44254ecd9d5815827c877455ee6b8325c 100644 (file)
@@ -14,7 +14,7 @@ entity alu is
                op3 : out csigned;
                do_calc : in std_logic;
                calc_done : out std_logic
-               -- TODO: hier debug ports hinzufuegen ;)
+               -- TODO: calc_error : out std_logic;
        );
 end entity alu;