quartusskripte: angepasst fuer webedition und tilab (weil die webedition das fpga...
[hwmod.git] / quartus / windows_web.cmd
diff --git a/quartus/windows_web.cmd b/quartus/windows_web.cmd
new file mode 100644 (file)
index 0000000..7953412
--- /dev/null
@@ -0,0 +1,4 @@
+md calc
+cd calc
+quartus_sh -t ..\project_web.tcl
+cd ..