quartusskripte: angepasst fuer webedition und tilab (weil die webedition das fpga...
[hwmod.git] / quartus / windows.cmd
diff --git a/quartus/windows.cmd b/quartus/windows.cmd
deleted file mode 100644 (file)
index 41938be..0000000
+++ /dev/null
@@ -1,4 +0,0 @@
-md calc
-cd calc
-quartus_sh -t ..\project.tcl
-cd ..