history: ram modul hinzugefuegt
[hwmod.git] / quartus / project_gen.tcl
index f8846a4e53bae87d086f1d1dfbe178fc367a507f..9c46c01d223225324fe61d8a4f723585436b54cd 100644 (file)
@@ -45,6 +45,7 @@ if {$make_assignments} {
        set_global_assignment -name VHDL_FILE ../../src/parser.vhd
        set_global_assignment -name VHDL_FILE ../../src/scanner.vhd
        set_global_assignment -name VHDL_FILE ../../src/display.vhd
+       set_global_assignment -name VHDL_FILE ../../src/sp_ram.vhd
        set_global_assignment -name VHDL_FILE ../../src/history.vhd
        set_global_assignment -name VHDL_FILE ../../src/calc.vhd
        set_global_assignment -name VHDL_FILE ../../src/vpll.vhd