quartusskripte: angepasst fuer webedition und tilab (weil die webedition das fpga...
[hwmod.git] / quartus / linux_web.sh
diff --git a/quartus/linux_web.sh b/quartus/linux_web.sh
new file mode 100755 (executable)
index 0000000..47b6381
--- /dev/null
@@ -0,0 +1,6 @@
+#!/bin/sh
+unset LS_COLORS
+mkdir -p calc
+cd calc
+quartus_sh -t ../project_web.tcl
+cd ..