quartusskripte: angepasst fuer webedition und tilab (weil die webedition das fpga...
[hwmod.git] / quartus / linux.sh
diff --git a/quartus/linux.sh b/quartus/linux.sh
deleted file mode 100755 (executable)
index b3f2718..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-#!/bin/sh
-unset LS_COLORS
-mkdir -p calc
-cd calc
-quartus_sh -t ../project.tcl
-cd ..