--- /dev/null
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+package sync_pkg is\r
+ component sync is\r
+ generic\r
+ (\r
+ SYNC_STAGES : integer range 2 to integer'high;\r
+ RESET_VALUE : std_logic\r
+ );\r
+ port\r
+ (\r
+ sys_clk : in std_logic;\r
+ sys_res_n : in std_logic;\r
+ data_in : in std_logic;\r
+ data_out : out std_logic\r
+ );\r
+ end component sync;\r
+end package sync_pkg;
\ No newline at end of file