--- /dev/null
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+\r
+package event_counter_pkg is\r
+ component event_counter is\r
+ generic\r
+ (\r
+ CNT_WIDTH : integer range 4 to integer'high;\r
+ RESET_VALUE : std_logic\r
+ );\r
+ port\r
+ (\r
+ sys_clk : in std_logic;\r
+ sys_res_n : in std_logic;\r
+ sense : in std_logic;\r
+ cnt : out std_logic_vector(CNT_WIDTH - 1 downto 0)\r
+ );\r
+ end component event_counter;\r
+end package event_counter_pkg;
\ No newline at end of file