after slot5
[dide_16.git] / bsp4 / Designflow / syn / rev_1 / vga.xrf
diff --git a/bsp4/Designflow/syn/rev_1/vga.xrf b/bsp4/Designflow/syn/rev_1/vga.xrf
new file mode 100644 (file)
index 0000000..932631e
--- /dev/null
@@ -0,0 +1,343 @@
+vendor_name = Synplicity
+source_file = 0, noname, synplify
+source_file = 1, /opt/synplify/fpga_c200906/lib/vhd/std.vhd, synplify
+source_file = 2, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_pak.vhd, synplify
+source_file = 3, /opt/synplify/fpga_c200906/lib/vhd/std1164.vhd, synplify
+source_file = 4, /opt/synplify/fpga_c200906/lib/vhd/unsigned.vhd, synplify
+source_file = 5, /opt/synplify/fpga_c200906/lib/vhd/arith.vhd, synplify
+source_file = 6, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_ent.vhd, synplify
+source_file = 7, /homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_ent.vhd, synplify
+source_file = 8, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_ent.vhd, synplify
+source_file = 9, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_ent.vhd, synplify
+source_file = 10, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_arc.vhd, synplify
+source_file = 11, /homes/burban/didelu/dide_16/bsp4/Designflow/src/board_driver_arc.vhd, synplify
+source_file = 12, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_control_arc.vhd, synplify
+source_file = 13, /homes/burban/didelu/dide_16/bsp4/Designflow/src/vga_driver_arc.vhd, synplify
+design_name=vga
+instance = port, clk_pin, , vga, 6, 42:7:42:13
+instance = port, reset_pin, , vga, 6, 43:7:43:15
+instance = port, r0_pin, , vga, 6, 45:7:45:12
+instance = port, r1_pin, , vga, 6, 45:15:45:20
+instance = port, r2_pin, , vga, 6, 45:23:45:28
+instance = port, g0_pin, , vga, 6, 46:7:46:12
+instance = port, g1_pin, , vga, 6, 46:15:46:20
+instance = port, g2_pin, , vga, 6, 46:23:46:28
+instance = port, b0_pin, , vga, 6, 47:7:47:12
+instance = port, b1_pin, , vga, 6, 47:15:47:20
+instance = port, hsync_pin, , vga, 6, 48:7:48:15
+instance = port, vsync_pin, , vga, 6, 49:7:49:15
+instance = port, seven_seg_pin[13:0], , vga, 6, 51:7:51:19
+instance = port, d_hsync, , vga, 6, 53:7:53:13
+instance = port, d_vsync, , vga, 6, 53:16:53:22
+instance = port, d_column_counter[9:0], , vga, 6, 54:7:54:22
+instance = port, d_line_counter[8:0], , vga, 6, 55:7:55:20
+instance = port, d_set_column_counter, , vga, 6, 56:7:56:26
+instance = port, d_set_line_counter, , vga, 6, 56:29:56:46
+instance = port, d_hsync_counter[9:0], , vga, 6, 57:7:57:21
+instance = port, d_vsync_counter[9:0], , vga, 6, 58:7:58:21
+instance = port, d_set_hsync_counter, , vga, 6, 59:7:59:25
+instance = port, d_set_vsync_counter, , vga, 6, 59:28:59:46
+instance = port, d_h_enable, , vga, 6, 60:7:60:16
+instance = port, d_v_enable, , vga, 6, 61:7:61:16
+instance = port, d_r, , vga, 6, 62:7:62:9
+instance = port, d_g, , vga, 6, 62:12:62:14
+instance = port, d_b, , vga, 6, 62:17:62:19
+instance = port, d_hsync_state[0:6], , vga, 6, 63:7:63:19
+instance = port, d_vsync_state[0:6], , vga, 6, 64:7:64:19
+instance = port, d_state_clk, , vga, 6, 65:7:65:17
+instance = port, d_toggle, , vga, 6, 66:7:66:14
+instance = port, d_toggle_counter[24:0], , vga, 6, 67:7:67:22
+instance = comp, dly_counter_1_, , vga, 10, 113:4:113:5
+instance = comp, dly_counter_0_, , vga, 10, 113:4:113:5
+instance = comp, reset_pin_in, , vga, 6, 43:7:43:15
+instance = comp, clk_pin_in, , vga, 6, 42:7:42:13
+instance = comp, d_toggle_counter_out_24_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_23_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_22_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_21_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_20_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_19_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_18_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_17_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_16_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_15_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_14_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_13_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_12_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_11_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_10_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_9_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_8_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_7_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_6_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_5_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_4_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_3_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_2_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_1_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_counter_out_0_, , vga, 6, 67:7:67:22
+instance = comp, d_toggle_out, , vga, 6, 66:7:66:14
+instance = comp, d_state_clk_out, , vga, 6, 65:7:65:17
+instance = comp, d_vsync_state_out_0_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_1_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_2_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_3_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_4_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_5_, , vga, 6, 64:7:64:19
+instance = comp, d_vsync_state_out_6_, , vga, 6, 64:7:64:19
+instance = comp, d_hsync_state_out_0_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_1_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_2_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_3_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_4_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_5_, , vga, 6, 63:7:63:19
+instance = comp, d_hsync_state_out_6_, , vga, 6, 63:7:63:19
+instance = comp, d_b_out, , vga, 6, 62:17:62:19
+instance = comp, d_g_out, , vga, 6, 62:12:62:14
+instance = comp, d_r_out, , vga, 6, 62:7:62:9
+instance = comp, d_v_enable_out, , vga, 6, 61:7:61:16
+instance = comp, d_h_enable_out, , vga, 6, 60:7:60:16
+instance = comp, d_set_vsync_counter_out, , vga, 6, 59:28:59:46
+instance = comp, d_set_hsync_counter_out, , vga, 6, 59:7:59:25
+instance = comp, d_vsync_counter_out_9_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_8_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_7_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_6_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_5_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_4_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_3_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_2_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_1_, , vga, 6, 58:7:58:21
+instance = comp, d_vsync_counter_out_0_, , vga, 6, 58:7:58:21
+instance = comp, d_hsync_counter_out_9_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_8_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_7_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_6_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_5_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_4_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_3_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_2_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_1_, , vga, 6, 57:7:57:21
+instance = comp, d_hsync_counter_out_0_, , vga, 6, 57:7:57:21
+instance = comp, d_set_line_counter_out, , vga, 6, 56:29:56:46
+instance = comp, d_set_column_counter_out, , vga, 6, 56:7:56:26
+instance = comp, d_line_counter_out_8_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_7_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_6_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_5_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_4_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_3_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_2_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_1_, , vga, 6, 55:7:55:20
+instance = comp, d_line_counter_out_0_, , vga, 6, 55:7:55:20
+instance = comp, d_column_counter_out_9_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_8_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_7_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_6_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_5_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_4_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_3_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_2_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_1_, , vga, 6, 54:7:54:22
+instance = comp, d_column_counter_out_0_, , vga, 6, 54:7:54:22
+instance = comp, d_vsync_out, , vga, 6, 53:16:53:22
+instance = comp, d_hsync_out, , vga, 6, 53:7:53:13
+instance = comp, seven_seg_pin_tri_13_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_12_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_11_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_10_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_9_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_8_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_7_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_6_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_5_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_4_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_3_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_2_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_out_1_, , vga, 6, 51:7:51:19
+instance = comp, seven_seg_pin_tri_0_, , vga, 6, 51:7:51:19
+instance = comp, vsync_pin_out, , vga, 6, 49:7:49:15
+instance = comp, hsync_pin_out, , vga, 6, 48:7:48:15
+instance = comp, b1_pin_out, , vga, 6, 47:15:47:20
+instance = comp, b0_pin_out, , vga, 6, 47:7:47:12
+instance = comp, g2_pin_out, , vga, 6, 46:23:46:28
+instance = comp, g1_pin_out, , vga, 6, 46:15:46:20
+instance = comp, g0_pin_out, , vga, 6, 46:7:46:12
+instance = comp, r2_pin_out, , vga, 6, 45:23:45:28
+instance = comp, r1_pin_out, , vga, 6, 45:15:45:20
+instance = comp, r0_pin_out, , vga, 6, 45:7:45:12
+instance = comp, vga_driver_unit, , vga, 10, 161:0:161:14
+instance = comp, vga_control_unit, , vga, 10, 186:2:186:17
+design_name=vga_control
+instance = comp, toggle_counter_sig_24_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_23_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_22_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_21_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_20_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_19_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_18_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_17_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_16_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_15_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_14_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_13_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_12_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_11_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_10_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_9_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_8_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_7_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_6_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_5_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_4_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_3_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_2_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_1_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_counter_sig_0_, , vga_control, 12, 100:4:100:5
+instance = comp, toggle_sig_Z, , vga_control, 12, 100:4:100:5
+instance = comp, b_Z, , vga_control, 12, 61:4:61:5
+instance = comp, r_Z, , vga_control, 12, 61:4:61:5
+instance = comp, g_Z, , vga_control, 12, 61:4:61:5
+instance = comp, BLINKER_next_un1_toggle_counter_siglto19, , vga_control, 12, 112:7:112:38
+instance = comp, BLINKER_next_un1_toggle_counter_siglto10, , vga_control, 12, 112:7:112:38
+instance = comp, DRAW_SQUARE_next_un17_v_enablelto7, , vga_control, 12, 77:38:77:60
+instance = comp, DRAW_SQUARE_next_un5_v_enablelto7, , vga_control, 12, 76:38:76:60
+instance = comp, DRAW_SQUARE_next_un17_v_enablelto5, , vga_control, 12, 77:38:77:60
+instance = comp, DRAW_SQUARE_next_un13_v_enablelto8, , vga_control, 12, 77:10:77:32
+instance = comp, DRAW_SQUARE_next_un13_v_enablelto8_a, , vga_control, 12, 77:10:77:32
+instance = comp, DRAW_SQUARE_next_un9_v_enablelto9, , vga_control, 12, 76:10:76:32
+instance = comp, BLINKER_next_un1_toggle_counter_siglto19_5, , vga_control, 12, 112:7:112:38
+instance = comp, BLINKER_next_un1_toggle_counter_siglto7, , vga_control, 12, 112:7:112:38
+instance = comp, DRAW_SQUARE_next_un9_v_enablelto6, , vga_control, 12, 76:10:76:32
+instance = comp, DRAW_SQUARE_next_un5_v_enablelto3, , vga_control, 12, 76:38:76:60
+instance = comp, BLINKER_next_un1_toggle_counter_siglto19_4, , vga_control, 12, 112:7:112:38
+instance = comp, BLINKER_next_un1_toggle_counter_siglto7_4, , vga_control, 12, 112:7:112:38
+instance = comp, DRAW_SQUARE_next_un17_v_enablelt2, , vga_control, 12, 77:38:77:60
+instance = comp, DRAW_SQUARE_next_un5_v_enablelto5_0, , vga_control, 12, 76:38:76:60
+instance = comp, un2_toggle_counter_next_0_, , vga_control, 12, 116:29:116:52
+design_name=vga_driver
+instance = comp, hsync_counter_0_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_1_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_2_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_3_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_4_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_5_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_6_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_7_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_8_, , vga_driver, 13, 158:4:158:5
+instance = comp, hsync_counter_9_, , vga_driver, 13, 158:4:158:5
+instance = comp, vsync_counter_0_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_1_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_2_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_3_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_4_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_5_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_6_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_7_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_8_, , vga_driver, 13, 267:4:267:5
+instance = comp, vsync_counter_9_, , vga_driver, 13, 267:4:267:5
+instance = comp, column_counter_sig_9_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_8_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_7_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_6_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_5_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_4_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_3_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_2_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_1_, , vga_driver, 13, 97:4:97:5
+instance = comp, column_counter_sig_0_, , vga_driver, 13, 97:4:97:5
+instance = comp, hsync_state_6_, , vga_driver, 13, 187:4:187:5
+instance = comp, vsync_state_0_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_1_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_6_, , vga_driver, 13, 300:4:300:5
+instance = comp, line_counter_sig_8_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_7_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_6_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_5_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_4_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_3_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_2_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_1_, , vga_driver, 13, 125:4:125:5
+instance = comp, line_counter_sig_0_, , vga_driver, 13, 125:4:125:5
+instance = comp, v_enable_sig_Z, , vga_driver, 13, 187:4:187:5
+instance = comp, h_enable_sig_Z, , vga_driver, 13, 300:4:300:5
+instance = comp, h_sync_Z, , vga_driver, 13, 187:4:187:5
+instance = comp, v_sync_Z, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_5_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_4_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_3_, , vga_driver, 13, 300:4:300:5
+instance = comp, vsync_state_2_, , vga_driver, 13, 300:4:300:5
+instance = comp, hsync_state_5_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_4_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_3_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_2_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_1_, , vga_driver, 13, 187:4:187:5
+instance = comp, hsync_state_0_, , vga_driver, 13, 187:4:187:5
+instance = comp, vsync_state_next_2_sqmuxa_cZ, , vga_driver, 13, 97:4:97:5
+instance = comp, un1_hsync_state_next_1_sqmuxa_0_cZ, , vga_driver, 13, 206:4:206:7
+instance = comp, un1_vsync_state_next_1_sqmuxa_0_cZ, , vga_driver, 13, 319:4:319:7
+instance = comp, LINE_COUNT_next_un10_line_counter_siglto8, , vga_driver, 13, 139:9:139:40
+instance = comp, G_2, , vga_driver, 10, 161:0:161:14
+instance = comp, vsync_state_next_1_sqmuxa_1_cZ, , vga_driver, 13, 326:11:326:32
+instance = comp, vsync_state_next_1_sqmuxa_2_cZ, , vga_driver, 13, 331:11:331:33
+instance = comp, vsync_state_next_1_sqmuxa_3_cZ, , vga_driver, 13, 339:11:339:34
+instance = comp, G_16, , vga_driver, 10, 161:0:161:14
+instance = comp, hsync_state_next_1_sqmuxa_2_cZ, , vga_driver, 13, 218:11:218:33
+instance = comp, hsync_state_next_1_sqmuxa_1_cZ, , vga_driver, 13, 213:11:213:32
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglto9, , vga_driver, 13, 111:9:111:41
+instance = comp, HSYNC_FSM_next_un12_hsync_counter, , vga_driver, 13, 226:11:226:34
+instance = comp, HSYNC_FSM_next_un13_hsync_counter, , vga_driver, 13, 231:11:231:32
+instance = comp, HSYNC_COUNT_next_un9_hsync_counterlt9, , vga_driver, 13, 172:9:172:36
+instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9, , vga_driver, 13, 281:9:281:36
+instance = comp, LINE_COUNT_next_un10_line_counter_siglto5, , vga_driver, 13, 139:9:139:40
+instance = comp, VSYNC_FSM_next_un13_vsync_counter_4, , vga_driver, 13, 331:11:331:33
+instance = comp, VSYNC_FSM_next_un15_vsync_counter_4, , vga_driver, 13, 344:11:344:32
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6, , vga_driver, 13, 111:9:111:41
+instance = comp, hsync_counter_next_1_sqmuxa_cZ, , vga_driver, 13, 169:7:169:32
+instance = comp, column_counter_next_0_sqmuxa_1_1_cZ, , vga_driver, 13, 111:9:111:41
+instance = comp, line_counter_next_0_sqmuxa_1_1_cZ, , vga_driver, 13, 139:9:139:40
+instance = comp, vsync_counter_next_1_sqmuxa_cZ, , vga_driver, 13, 278:7:278:32
+instance = comp, VSYNC_FSM_next_un14_vsync_counter_8, , vga_driver, 13, 339:11:339:34
+instance = comp, HSYNC_FSM_next_un11_hsync_counter_3, , vga_driver, 13, 218:11:218:33
+instance = comp, HSYNC_FSM_next_un11_hsync_counter_2, , vga_driver, 13, 218:11:218:33
+instance = comp, HSYNC_FSM_next_un12_hsync_counter_4, , vga_driver, 13, 226:11:226:34
+instance = comp, HSYNC_FSM_next_un12_hsync_counter_3, , vga_driver, 13, 226:11:226:34
+instance = comp, HSYNC_COUNT_next_un9_hsync_counterlt9_3, , vga_driver, 13, 172:9:172:36
+instance = comp, HSYNC_FSM_next_un13_hsync_counter_2, , vga_driver, 13, 231:11:231:32
+instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9_6, , vga_driver, 13, 281:9:281:36
+instance = comp, VSYNC_COUNT_next_un9_vsync_counterlt9_5, , vga_driver, 13, 281:9:281:36
+instance = comp, VSYNC_FSM_next_un13_vsync_counter_3, , vga_driver, 13, 331:11:331:33
+instance = comp, HSYNC_FSM_next_un10_hsync_counter_4, , vga_driver, 13, 213:11:213:32
+instance = comp, HSYNC_FSM_next_un10_hsync_counter_3, , vga_driver, 13, 213:11:213:32
+instance = comp, VSYNC_FSM_next_un15_vsync_counter_3, , vga_driver, 13, 344:11:344:32
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6_2, , vga_driver, 13, 111:9:111:41
+instance = comp, LINE_COUNT_next_un10_line_counter_siglt4_2, , vga_driver, 13, 139:9:139:40
+instance = comp, HSYNC_FSM_next_un10_hsync_counter_1, , vga_driver, 13, 213:11:213:32
+instance = comp, HSYNC_FSM_next_un13_hsync_counter_7, , vga_driver, 13, 231:11:231:32
+instance = comp, VSYNC_FSM_next_un12_vsync_counter_6, , vga_driver, 13, 326:11:326:32
+instance = comp, VSYNC_FSM_next_un12_vsync_counter_7, , vga_driver, 13, 326:11:326:32
+instance = comp, un1_hsync_state_3_0_cZ, , vga_driver, 13, 206:4:206:7
+instance = comp, COLUMN_COUNT_next_un10_column_counter_siglt6_1, , vga_driver, 13, 111:9:111:41
+instance = comp, un1_vsync_state_2_0_cZ, , vga_driver, 13, 319:4:319:7
+instance = comp, d_set_hsync_counter_cZ, , vga_driver, 13, 248:4:248:7
+instance = comp, d_set_vsync_counter_cZ, , vga_driver, 13, 361:4:361:7
+instance = comp, un1_line_counter_sig_9_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_8_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_7_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_6_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_5_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_4_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_3_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_2_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_a_1_, , vga_driver, 13, 141:31:141:52
+instance = comp, un1_line_counter_sig_1_, , vga_driver, 13, 141:31:141:52
+instance = comp, un2_column_counter_next_9_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_8_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_7_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_6_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_5_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_4_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_3_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_2_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_1_, , vga_driver, 13, 112:31:112:54
+instance = comp, un2_column_counter_next_0_, , vga_driver, 13, 112:31:112:54