after slot5
[dide_16.git] / bsp4 / Designflow / sim / post / work / _vmake
diff --git a/bsp4/Designflow/sim/post/work/_vmake b/bsp4/Designflow/sim/post/work/_vmake
new file mode 100644 (file)
index 0000000..2f7e729
--- /dev/null
@@ -0,0 +1,3 @@
+m255
+K3
+cModel Technology