4er slot (3. bsp fertig)
[dide_16.git] / bsp3 / Designflow / ppr / download / vga_pll.pin
diff --git a/bsp3/Designflow/ppr/download/vga_pll.pin b/bsp3/Designflow/ppr/download/vga_pll.pin
new file mode 100644 (file)
index 0000000..ce42e13
--- /dev/null
@@ -0,0 +1,748 @@
+ -- Copyright (C) 1991-2009 Altera Corporation
+ -- Your use of Altera Corporation's design tools, logic functions 
+ -- and other software and tools, and its AMPP partner logic 
+ -- functions, and any output files from any of the foregoing 
+ -- (including device programming or simulation files), and any 
+ -- associated documentation or information are expressly subject 
+ -- to the terms and conditions of the Altera Program License 
+ -- Subscription Agreement, Altera MegaCore Function License 
+ -- Agreement, or other applicable license agreement, including, 
+ -- without limitation, that your use is for the sole purpose of 
+ -- programming logic devices manufactured by Altera and sold by 
+ -- Altera or its authorized distributors.  Please refer to the 
+ -- applicable agreement for further details.
+ -- 
+ -- This is a Quartus II output file. It is for reporting purposes only, and is
+ -- not intended for use as a Quartus II input file. This file cannot be used
+ -- to make Quartus II pin assignments - for instructions on how to make pin
+ -- assignments, please see Quartus II help.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- NC            : No Connect. This pin has no internal connection to the device.
+ -- DNU           : Do Not Use. This pin MUST NOT be connected.
+ -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.5V).
+ -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
+ --                 of its bank.
+ --                                    Bank 1:         3.3V
+ --                                    Bank 2:         3.3V
+ --                                    Bank 3:         3.3V
+ --                                    Bank 4:         3.3V
+ --                                    Bank 5:         3.3V
+ --                                    Bank 6:         3.3V
+ --                                    Bank 7:         3.3V
+ --                                    Bank 8:         3.3V
+ --                                    Bank 9:         3.3V
+ --                                    Bank 11:        3.3V
+ -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
+ --                                    It can also be used to report unused dedicated pins. The connection
+ --                                    on the board for unused dedicated pins depends on whether this will
+ --                                    be used in a future design. One example is device migration. When
+ --                                    using device migration, refer to the device pin-tables. If it is a
+ --                                    GND pin in the pin table or if it will not be used in a future design
+ --                                    for another purpose the it MUST be connected to GND. If it is an unused
+ --                                    dedicated pin, then it can be connected to a valid signal on the board
+ --                                    (low, high, or toggling) if that signal is required for a different
+ --                                    revision of the design.
+ -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
+ --                                    This pin should be connected to GND. It may also be connected  to a
+ --                                    valid signal  on the board  (low, high, or toggling)  if that signal
+ --                                    is required for a different revision of the design.
+ -- GND*          : Unused  I/O  pin.   For transceiver I/O banks (Bank 13, 14, 15, 16 and 17),
+ --                connect each pin marked GND* either individually through a 10k Ohm resistor
+ --                to GND or tie all pins together and connect through a single 10k Ohm resistor
+ --                to GND.
+ --                For non-transceiver I/O banks, connect each pin marked GND* directly to GND
+ --                or leave it unconnected.
+ -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
+ -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
+ -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
+ -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
+ -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- Pin directions (input, output or bidir) are based on device operating in user mode.
+ ---------------------------------------------------------------------------------
+
+Quartus II Version 9.0 Build 132 02/25/2009 SJ Full Version
+CHIP  "vga_pll"  ASSIGNED TO AN: EP1S25F672C6
+
+Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
+-------------------------------------------------------------------------------------------------------------
+GND                          : A2        : gnd    :                   :         :           :                
+GND*                         : A3        :        :                   :         : 3         :                
+VCCIO3                       : A4        : power  :                   : 3.3V    : 3         :                
+reset                        : A5        : input  : 3.3-V LVTTL       :         : 3         : Y              
+GND*                         : A6        :        :                   :         : 3         :                
+GND*                         : A7        :        :                   :         : 3         :                
+GND*                         : A8        :        :                   :         : 3         :                
+GND*                         : A9        :        :                   :         : 3         :                
+GND*                         : A10       :        :                   :         : 3         :                
+VCCIO3                       : A11       : power  :                   : 3.3V    : 3         :                
+GND*                         : A12       :        :                   :         : 3         :                
+GND                          : A13       : gnd    :                   :         :           :                
+GND                          : A14       : gnd    :                   :         :           :                
+GND+                         : A15       :        :                   :         : 4         :                
+VCCIO4                       : A16       : power  :                   : 3.3V    : 4         :                
+d_hsync_counter[6]           : A17       : output : 3.3-V LVTTL       :         : 4         : N              
+GND*                         : A18       :        :                   :         : 4         :                
+GND*                         : A19       :        :                   :         : 4         :                
+GND*                         : A20       :        :                   :         : 4         :                
+GND*                         : A21       :        :                   :         : 4         :                
+GND*                         : A22       :        :                   :         : 4         :                
+VCCIO4                       : A23       : power  :                   : 3.3V    : 4         :                
+GND*                         : A24       :        :                   :         : 4         :                
+GND                          : A25       : gnd    :                   :         :           :                
+GND*                         : AA1       :        :                   :         : 1         :                
+GND*                         : AA2       :        :                   :         : 1         :                
+GND*                         : AA3       :        :                   :         : 1         :                
+GND*                         : AA4       :        :                   :         : 1         :                
+GND*                         : AA5       :        :                   :         : 1         :                
+GND*                         : AA6       :        :                   :         : 1         :                
+GND*                         : AA7       :        :                   :         : 8         :                
+GND*                         : AA8       :        :                   :         : 8         :                
+GND*                         : AA9       :        :                   :         : 8         :                
+GND*                         : AA10      :        :                   :         : 8         :                
+seven_seg_pin[12]            : AA11      : output : 3.3-V LVTTL       :         : 8         : Y              
+GND*                         : AA12      :        :                   :         : 11        :                
+GND*                         : AA13      :        :                   :         : 11        :                
+d_vsync_counter[5]           : AA14      : output : 3.3-V LVTTL       :         : 11        : N              
+nIO_PULLUP                   : AA15      :        :                   :         : 7         :                
+GND*                         : AA16      :        :                   :         : 7         :                
+d_hsync_counter[1]           : AA17      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AA18      :        :                   :         : 7         :                
+GND*                         : AA19      :        :                   :         : 7         :                
+GND*                         : AA20      :        :                   :         : 7         :                
+GND*                         : AA21      :        :                   :         : 7         :                
+GND*                         : AA22      :        :                   :         : 6         :                
+GND*                         : AA23      :        :                   :         : 6         :                
+GND*                         : AA24      :        :                   :         : 6         :                
+GND*                         : AA25      :        :                   :         : 6         :                
+GND*                         : AA26      :        :                   :         : 6         :                
+GND*                         : AB1       :        :                   :         : 1         :                
+GND*                         : AB2       :        :                   :         : 1         :                
+GND*                         : AB3       :        :                   :         : 1         :                
+GND*                         : AB4       :        :                   :         : 1         :                
+GND*                         : AB5       :        :                   :         : 8         :                
+GND*                         : AB6       :        :                   :         : 8         :                
+GND*                         : AB7       :        :                   :         : 8         :                
+GND*                         : AB8       :        :                   :         : 8         :                
+GND*                         : AB9       :        :                   :         : 8         :                
+GND*                         : AB10      :        :                   :         : 8         :                
+GND*                         : AB11      :        :                   :         : 8         :                
+d_vsync_counter[4]           : AB12      : output : 3.3-V LVTTL       :         : 11        : N              
+GND*                         : AB13      :        :                   :         : 11        :                
+GND*                         : AB14      :        :                   :         : 11        :                
+GND                          : AB15      : gnd    :                   :         :           :                
+GND*                         : AB16      :        :                   :         : 7         :                
+GND*                         : AB17      :        :                   :         : 7         :                
+GND                          : AB18      : gnd    :                   :         :           :                
+GND*                         : AB19      :        :                   :         : 7         :                
+GND*                         : AB20      :        :                   :         : 7         :                
+GND*                         : AB21      :        :                   :         : 7         :                
+GND*                         : AB22      :        :                   :         : 7         :                
+GND*                         : AB23      :        :                   :         : 6         :                
+GND*                         : AB24      :        :                   :         : 6         :                
+GND*                         : AB25      :        :                   :         : 6         :                
+GND*                         : AB26      :        :                   :         : 6         :                
+VCCIO1                       : AC1       : power  :                   : 3.3V    : 1         :                
+GND*                         : AC2       :        :                   :         : 1         :                
+GND*                         : AC3       :        :                   :         : 1         :                
+GND*                         : AC4       :        :                   :         : 1         :                
+GND*                         : AC5       :        :                   :         : 8         :                
+GND*                         : AC6       :        :                   :         : 8         :                
+GND*                         : AC7       :        :                   :         : 8         :                
+GND*                         : AC8       :        :                   :         : 8         :                
+GND*                         : AC9       :        :                   :         : 8         :                
+GND*                         : AC10      :        :                   :         : 8         :                
+GND*                         : AC11      :        :                   :         : 8         :                
+GND+                         : AC12      :        :                   :         : 8         :                
+GND                          : AC13      : gnd    :                   :         :           :                
+GNDA_PLL6                    : AC14      : gnd    :                   :         :           :                
+GND*                         : AC15      :        :                   :         : 7         :                
+GND*                         : AC16      :        :                   :         : 7         :                
+GND*                         : AC17      :        :                   :         : 7         :                
+GND*                         : AC18      :        :                   :         : 7         :                
+GND*                         : AC19      :        :                   :         : 7         :                
+GND*                         : AC20      :        :                   :         : 7         :                
+GND*                         : AC21      :        :                   :         : 7         :                
+GND*                         : AC22      :        :                   :         : 7         :                
+GND*                         : AC23      :        :                   :         : 7         :                
+GND*                         : AC24      :        :                   :         : 6         :                
+GND*                         : AC25      :        :                   :         : 6         :                
+VCCIO6                       : AC26      : power  :                   : 3.3V    : 6         :                
+GND*                         : AD1       :        :                   :         : 1         :                
+GND*                         : AD2       :        :                   :         : 8         :                
+GND*                         : AD3       :        :                   :         : 8         :                
+GND*                         : AD4       :        :                   :         : 8         :                
+GND*                         : AD5       :        :                   :         : 8         :                
+GND*                         : AD6       :        :                   :         : 8         :                
+GND*                         : AD7       :        :                   :         : 8         :                
+GND*                         : AD8       :        :                   :         : 8         :                
+GND*                         : AD9       :        :                   :         : 8         :                
+GND*                         : AD10      :        :                   :         : 8         :                
+GND*                         : AD11      :        :                   :         : 8         :                
+GND*                         : AD12      :        :                   :         : 8         :                
+VCCG_PLL6                    : AD13      : power  :                   : 1.5V    :           :                
+VCCA_PLL6                    : AD14      : power  :                   : 1.5V    :           :                
+GND*                         : AD15      :        :                   :         : 7         :                
+GND*                         : AD16      :        :                   :         : 7         :                
+GND*                         : AD17      :        :                   :         : 7         :                
+GND*                         : AD18      :        :                   :         : 7         :                
+GND*                         : AD19      :        :                   :         : 7         :                
+GND*                         : AD20      :        :                   :         : 7         :                
+GND                          : AD21      : gnd    :                   :         :           :                
+GND*                         : AD22      :        :                   :         : 7         :                
+GND*                         : AD23      :        :                   :         : 7         :                
+GND*                         : AD24      :        :                   :         : 7         :                
+GND*                         : AD25      :        :                   :         : 6         :                
+GND*                         : AD26      :        :                   :         : 6         :                
+GND                          : AE1       : gnd    :                   :         :           :                
+GND*                         : AE2       :        :                   :         : 8         :                
+GND*                         : AE3       :        :                   :         : 8         :                
+GND*                         : AE4       :        :                   :         : 8         :                
+GND                          : AE5       : gnd    :                   :         :           :                
+GND*                         : AE6       :        :                   :         : 8         :                
+GND*                         : AE7       :        :                   :         : 8         :                
+GND*                         : AE8       :        :                   :         : 8         :                
+GND                          : AE9       : gnd    :                   :         :           :                
+GND*                         : AE10      :        :                   :         : 8         :                
+GND*                         : AE11      :        :                   :         : 8         :                
+GND+                         : AE12      :        :                   :         : 8         :                
+VCC_PLL6_OUTA                : AE13      : power  :                   : 3.3V    : 11        :                
+GNDG_PLL6                    : AE14      : gnd    :                   :         :           :                
+GND+                         : AE15      :        :                   :         : 7         :                
+d_hsync_counter[3]           : AE16      : output : 3.3-V LVTTL       :         : 7         : N              
+GND*                         : AE17      :        :                   :         : 7         :                
+GND*                         : AE18      :        :                   :         : 7         :                
+GND*                         : AE19      :        :                   :         : 7         :                
+GND*                         : AE20      :        :                   :         : 7         :                
+GND*                         : AE21      :        :                   :         : 7         :                
+GND*                         : AE22      :        :                   :         : 7         :                
+GND*                         : AE23      :        :                   :         : 7         :                
+GND*                         : AE24      :        :                   :         : 7         :                
+GND*                         : AE25      :        :                   :         : 7         :                
+GND                          : AE26      : gnd    :                   :         :           :                
+GND                          : AF2       : gnd    :                   :         :           :                
+GND*                         : AF3       :        :                   :         : 8         :                
+VCCIO8                       : AF4       : power  :                   : 3.3V    : 8         :                
+GND*                         : AF5       :        :                   :         : 8         :                
+GND*                         : AF6       :        :                   :         : 8         :                
+GND*                         : AF7       :        :                   :         : 8         :                
+GND*                         : AF8       :        :                   :         : 8         :                
+GND*                         : AF9       :        :                   :         : 8         :                
+GND*                         : AF10      :        :                   :         : 8         :                
+VCCIO8                       : AF11      : power  :                   : 3.3V    : 8         :                
+GND*                         : AF12      :        :                   :         : 8         :                
+GND                          : AF13      : gnd    :                   :         :           :                
+GND                          : AF14      : gnd    :                   :         :           :                
+GND+                         : AF15      :        :                   :         : 7         :                
+VCCIO7                       : AF16      : power  :                   : 3.3V    : 7         :                
+GND*                         : AF17      :        :                   :         : 7         :                
+GND*                         : AF18      :        :                   :         : 7         :                
+GND*                         : AF19      :        :                   :         : 7         :                
+GND*                         : AF20      :        :                   :         : 7         :                
+GND*                         : AF21      :        :                   :         : 7         :                
+GND*                         : AF22      :        :                   :         : 7         :                
+VCCIO7                       : AF23      : power  :                   : 3.3V    : 7         :                
+GND*                         : AF24      :        :                   :         : 7         :                
+GND                          : AF25      : gnd    :                   :         :           :                
+GND                          : B1        : gnd    :                   :         :           :                
+GND                          : B2        : gnd    :                   :         :           :                
+GND*                         : B3        :        :                   :         : 3         :                
+GND*                         : B4        :        :                   :         : 3         :                
+GND*                         : B5        :        :                   :         : 3         :                
+GND*                         : B6        :        :                   :         : 3         :                
+GND*                         : B7        :        :                   :         : 3         :                
+GND*                         : B8        :        :                   :         : 3         :                
+GND*                         : B9        :        :                   :         : 3         :                
+GND*                         : B10       :        :                   :         : 3         :                
+GND*                         : B11       :        :                   :         : 3         :                
+GND+                         : B12       :        :                   :         : 3         :                
+GNDG_PLL5                    : B13       : gnd    :                   :         :           :                
+GNDA_PLL5                    : B14       : gnd    :                   :         :           :                
+GND+                         : B15       :        :                   :         : 4         :                
+GND*                         : B16       :        :                   :         : 4         :                
+GND*                         : B17       :        :                   :         : 4         :                
+GND*                         : B18       :        :                   :         : 4         :                
+GND*                         : B19       :        :                   :         : 4         :                
+GND*                         : B20       :        :                   :         : 4         :                
+GND*                         : B21       :        :                   :         : 4         :                
+GND*                         : B22       :        :                   :         : 4         :                
+GND*                         : B23       :        :                   :         : 4         :                
+GND*                         : B24       :        :                   :         : 4         :                
+GND*                         : B25       :        :                   :         : 4         :                
+GND                          : B26       : gnd    :                   :         :           :                
+GND*                         : C1        :        :                   :         : 2         :                
+GND*                         : C2        :        :                   :         : 3         :                
+GND*                         : C3        :        :                   :         : 3         :                
+GND*                         : C4        :        :                   :         : 3         :                
+GND*                         : C5        :        :                   :         : 3         :                
+GND*                         : C6        :        :                   :         : 3         :                
+GND*                         : C7        :        :                   :         : 3         :                
+GND*                         : C8        :        :                   :         : 3         :                
+GND*                         : C9        :        :                   :         : 3         :                
+GND*                         : C10       :        :                   :         : 3         :                
+GND*                         : C11       :        :                   :         : 3         :                
+GND*                         : C12       :        :                   :         : 3         :                
+GND                          : C13       : gnd    :                   :         :           :                
+VCCG_PLL5                    : C14       : power  :                   : 1.5V    :           :                
+GND*                         : C15       :        :                   :         : 4         :                
+GND*                         : C16       :        :                   :         : 4         :                
+GND*                         : C17       :        :                   :         : 4         :                
+GND*                         : C18       :        :                   :         : 4         :                
+GND*                         : C19       :        :                   :         : 4         :                
+GND*                         : C20       :        :                   :         : 4         :                
+GND*                         : C21       :        :                   :         : 4         :                
+GND*                         : C22       :        :                   :         : 4         :                
+GND*                         : C23       :        :                   :         : 4         :                
+GND*                         : C24       :        :                   :         : 4         :                
+GND*                         : C25       :        :                   :         : 5         :                
+GND*                         : C26       :        :                   :         : 5         :                
+VCCIO2                       : D1        : power  :                   : 3.3V    : 2         :                
+GND*                         : D2        :        :                   :         : 2         :                
+GND*                         : D3        :        :                   :         : 3         :                
+GND*                         : D4        :        :                   :         : 3         :                
+GND*                         : D5        :        :                   :         : 3         :                
+GND*                         : D6        :        :                   :         : 3         :                
+GND                          : D7        : gnd    :                   :         :           :                
+GND*                         : D8        :        :                   :         : 3         :                
+GND                          : D9        : gnd    :                   :         :           :                
+GND*                         : D10       :        :                   :         : 3         :                
+GND*                         : D11       :        :                   :         : 3         :                
+GND+                         : D12       :        :                   :         : 3         :                
+VCC_PLL5_OUTA                : D13       : power  :                   : 3.3V    : 9         :                
+VCCA_PLL5                    : D14       : power  :                   : 1.5V    :           :                
+TRST                         : D15       : input  :                   :         : 4         :                
+GND*                         : D16       :        :                   :         : 4         :                
+d_hsync_counter[4]           : D17       : output : 3.3-V LVTTL       :         : 4         : N              
+GND*                         : D18       :        :                   :         : 4         :                
+GND*                         : D19       :        :                   :         : 4         :                
+GND*                         : D20       :        :                   :         : 4         :                
+GND*                         : D21       :        :                   :         : 4         :                
+GND*                         : D22       :        :                   :         : 4         :                
+GND*                         : D23       :        :                   :         : 4         :                
+GND*                         : D24       :        :                   :         : 5         :                
+GND*                         : D25       :        :                   :         : 5         :                
+VCCIO5                       : D26       : power  :                   : 3.3V    : 5         :                
+GND*                         : E1        :        :                   :         : 2         :                
+GND*                         : E2        :        :                   :         : 2         :                
+GND*                         : E3        :        :                   :         : 2         :                
+GND*                         : E4        :        :                   :         : 2         :                
+GND*                         : E5        :        :                   :         : 3         :                
+GND*                         : E6        :        :                   :         : 3         :                
+GND*                         : E7        :        :                   :         : 3         :                
+GND*                         : E8        :        :                   :         : 3         :                
+GND*                         : E9        :        :                   :         : 3         :                
+GND*                         : E10       :        :                   :         : 3         :                
+GND*                         : E11       :        :                   :         : 3         :                
+d_vsync_counter[2]           : E12       : output : 3.3-V LVTTL       :         : 9         : N              
+GND*                         : E13       :        :                   :         : 9         :                
+GND*                         : E14       :        :                   :         : 9         :                
+TMS                          : E15       : input  :                   :         : 4         :                
+GND*                         : E16       :        :                   :         : 4         :                
+GND*                         : E17       :        :                   :         : 4         :                
+GND*                         : E18       :        :                   :         : 4         :                
+GND*                         : E19       :        :                   :         : 4         :                
+GND*                         : E20       :        :                   :         : 4         :                
+GND*                         : E21       :        :                   :         : 4         :                
+r0_pin                       : E22       : output : 3.3-V LVTTL       :         : 4         : Y              
+g0_pin                       : E23       : output : 3.3-V LVTTL       :         : 5         : Y              
+b0_pin                       : E24       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : E25       :        :                   :         : 5         :                
+GND*                         : E26       :        :                   :         : 5         :                
+hsync_pin                    : F1        : output : 3.3-V LVTTL       :         : 2         : Y              
+vsync_pin                    : F2        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[2]             : F3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[1]             : F4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[0]             : F5        : output : 3.3-V LVTTL       :         : 3         : Y              
+d_hsync_state[6]             : F6        : output : 3.3-V LVTTL       :         : 3         : Y              
+GND*                         : F7        :        :                   :         : 3         :                
+GND                          : F8        : gnd    :                   :         :           :                
+d_hsync_state[5]             : F9        : output : 3.3-V LVTTL       :         : 3         : Y              
+d_hsync_state[4]             : F10       : output : 3.3-V LVTTL       :         : 3         : Y              
+GND                          : F11       : gnd    :                   :         :           :                
+GND*                         : F12       :        :                   :         : 9         :                
+GND*                         : F13       :        :                   :         : 9         :                
+d_vsync_counter[1]           : F14       : output : 3.3-V LVTTL       :         : 9         : N              
+GND*                         : F15       :        :                   :         : 4         :                
+~DATA0~ / RESERVED_INPUT     : F16       : input  : 3.3-V LVTTL       :         : 4         : N              
+d_hsync_state[2]             : F17       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND                          : F18       : gnd    :                   :         :           :                
+d_hsync_state[1]             : F19       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND*                         : F20       :        :                   :         : 4         :                
+d_set_line_counter           : F21       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND                          : F22       : gnd    :                   :         :           :                
+GND*                         : F23       :        :                   :         : 5         :                
+d_set_vsync_counter          : F24       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_hsync_counter[5]           : F25       : output : 3.3-V LVTTL       :         : 5         : N              
+d_set_hsync_counter          : F26       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : G1        :        :                   :         : 2         :                
+d_vsync_counter[9]           : G2        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : G3        :        :                   :         : 2         :                
+d_vsync_counter[8]           : G4        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : G5        :        :                   :         : 2         :                
+d_vsync_counter[7]           : G6        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : G7        :        :                   :         : 3         :                
+GND                          : G8        : gnd    :                   :         :           :                
+d_vsync_counter[0]           : G9        : output : 3.3-V LVTTL       :         : 3         : Y              
+GND*                         : G10       :        :                   :         : 3         :                
+GND*                         : G11       :        :                   :         : 3         :                
+DCLK                         : G12       :        :                   :         : 3         :                
+TEMPDIODEn                   : G13       :        :                   :         :           :                
+TDO                          : G14       : output :                   :         : 4         :                
+TCK                          : G15       : input  :                   :         : 4         :                
+GND                          : G16       : gnd    :                   :         :           :                
+d_hsync_counter[2]           : G17       : output : 3.3-V LVTTL       :         : 4         : N              
+d_hsync_counter[9]           : G18       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND*                         : G19       :        :                   :         : 4         :                
+GND*                         : G20       :        :                   :         : 4         :                
+GND*                         : G21       :        :                   :         : 5         :                
+d_hsync_counter[8]           : G22       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : G23       :        :                   :         : 5         :                
+GND*                         : G24       :        :                   :         : 5         :                
+d_hsync_counter[7]           : G25       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : G26       :        :                   :         : 5         :                
+GND*                         : H1        :        :                   :         : 2         :                
+GND*                         : H2        :        :                   :         : 2         :                
+GND*                         : H3        :        :                   :         : 2         :                
+d_hsync_counter[0]           : H4        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND*                         : H5        :        :                   :         : 2         :                
+GND*                         : H6        :        :                   :         : 2         :                
+GND*                         : H7        :        :                   :         : 2         :                
+GND                          : H8        : gnd    :                   :         :           :                
+GND                          : H9        : gnd    :                   :         :           :                
+GND*                         : H10       :        :                   :         : 3         :                
+CONF_DONE                    : H11       :        :                   :         : 3         :                
+nCONFIG                      : H12       :        :                   :         : 3         :                
+nSTATUS                      : H13       :        :                   :         : 3         :                
+TEMPDIODEp                   : H14       :        :                   :         :           :                
+TDI                          : H15       : input  :                   :         : 4         :                
+GND*                         : H16       :        :                   :         : 4         :                
+GND                          : H17       : gnd    :                   :         :           :                
+d_v_enable                   : H18       : output : 3.3-V LVTTL       :         : 4         : Y              
+GND*                         : H19       :        :                   :         : 5         :                
+GND*                         : H20       :        :                   :         : 5         :                
+GND*                         : H21       :        :                   :         : 5         :                
+GND*                         : H22       :        :                   :         : 5         :                
+GND*                         : H23       :        :                   :         : 5         :                
+GND*                         : H24       :        :                   :         : 5         :                
+GND*                         : H25       :        :                   :         : 5         :                
+GND*                         : H26       :        :                   :         : 5         :                
+GND*                         : J1        :        :                   :         : 2         :                
+GND*                         : J2        :        :                   :         : 2         :                
+GND*                         : J3        :        :                   :         : 2         :                
+GND*                         : J4        :        :                   :         : 2         :                
+GND*                         : J5        :        :                   :         : 2         :                
+GND*                         : J6        :        :                   :         : 2         :                
+GND*                         : J7        :        :                   :         : 2         :                
+GND*                         : J8        :        :                   :         : 2         :                
+GND                          : J9        : gnd    :                   :         :           :                
+GND                          : J10       : gnd    :                   :         :           :                
+VCCIO3                       : J11       : power  :                   : 3.3V    : 3         :                
+VCCIO3                       : J12       : power  :                   : 3.3V    : 3         :                
+GND                          : J13       : gnd    :                   :         :           :                
+GND                          : J14       : gnd    :                   :         :           :                
+VCCIO4                       : J15       : power  :                   : 3.3V    : 4         :                
+VCCIO4                       : J16       : power  :                   : 3.3V    : 4         :                
+GND                          : J17       : gnd    :                   :         :           :                
+GND                          : J18       : gnd    :                   :         :           :                
+GND*                         : J19       :        :                   :         : 5         :                
+GND*                         : J20       :        :                   :         : 5         :                
+d_h_enable                   : J21       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_line_counter[2]            : J22       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : J23       :        :                   :         : 5         :                
+GND*                         : J24       :        :                   :         : 5         :                
+GND*                         : J25       :        :                   :         : 5         :                
+GND*                         : J26       :        :                   :         : 5         :                
+GND*                         : K1        :        :                   :         : 2         :                
+GND*                         : K2        :        :                   :         : 2         :                
+d_state_clk                  : K3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[1]            : K4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_column_counter[9]          : K5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[0]            : K6        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_counter[3]           : K7        : output : 3.3-V LVTTL       :         : 2         : N              
+GND*                         : K8        :        :                   :         : 2         :                
+GND*                         : K9        :        :                   :         : 2         :                
+GND                          : K10       : gnd    :                   :         :           :                
+VCCINT                       : K11       : power  :                   : 1.5V    :           :                
+GND                          : K12       : gnd    :                   :         :           :                
+VCCINT                       : K13       : power  :                   : 1.5V    :           :                
+GND                          : K14       : gnd    :                   :         :           :                
+VCCINT                       : K15       : power  :                   : 1.5V    :           :                
+GND                          : K16       : gnd    :                   :         :           :                
+VCCINT                       : K17       : power  :                   : 1.5V    :           :                
+GND                          : K18       : gnd    :                   :         :           :                
+d_column_counter[8]          : K19       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_b                          : K20       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_vsync_counter[6]           : K21       : output : 3.3-V LVTTL       :         : 5         : N              
+GND*                         : K22       :        :                   :         : 5         :                
+d_column_counter[7]          : K23       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_g                          : K24       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : K25       :        :                   :         : 5         :                
+GND*                         : K26       :        :                   :         : 5         :                
+VCCIO2                       : L1        : power  :                   : 3.3V    : 2         :                
+d_column_counter[6]          : L2        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_r                          : L3        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_column_counter[5]          : L4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync                      : L5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_column_counter[4]          : L6        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_hsync                      : L7        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND                          : L8        : gnd    :                   :         :           :                
+VCCIO2                       : L9        : power  :                   : 3.3V    : 2         :                
+VCCINT                       : L10       : power  :                   : 1.5V    :           :                
+GND                          : L11       : gnd    :                   :         :           :                
+VCCINT                       : L12       : power  :                   : 1.5V    :           :                
+GND                          : L13       : gnd    :                   :         :           :                
+VCCINT                       : L14       : power  :                   : 1.5V    :           :                
+GND                          : L15       : gnd    :                   :         :           :                
+VCCINT                       : L16       : power  :                   : 1.5V    :           :                
+GND                          : L17       : gnd    :                   :         :           :                
+VCCIO5                       : L18       : power  :                   : 3.3V    : 5         :                
+GND                          : L19       : gnd    :                   :         :           :                
+d_column_counter[3]          : L20       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_column_counter[2]          : L21       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_column_counter[1]          : L22       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_column_counter[0]          : L23       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_line_counter[7]            : L24       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_line_counter[8]            : L25       : output : 3.3-V LVTTL       :         : 5         : Y              
+VCCIO5                       : L26       : power  :                   : 3.3V    : 5         :                
+GND+                         : M1        :        :                   :         : 2         :                
+VCCG_PLL1                    : M2        : power  :                   : 1.5V    :           :                
+VCCA_PLL1                    : M3        : power  :                   : 1.5V    :           :                
+d_vsync_state[6]             : M4        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[6]            : M5        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[5]            : M6        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_vsync_state[5]             : M7        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[4]            : M8        : output : 3.3-V LVTTL       :         : 2         : Y              
+d_line_counter[3]            : M9        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND                          : M10       : gnd    :                   :         :           :                
+VCCINT                       : M11       : power  :                   : 1.5V    :           :                
+GND                          : M12       : gnd    :                   :         :           :                
+VCCINT                       : M13       : power  :                   : 1.5V    :           :                
+GND                          : M14       : gnd    :                   :         :           :                
+VCCINT                       : M15       : power  :                   : 1.5V    :           :                
+GND                          : M16       : gnd    :                   :         :           :                
+VCCINT                       : M17       : power  :                   : 1.5V    :           :                
+d_vsync_state[4]             : M18       : output : 3.3-V LVTTL       :         : 5         : Y              
+d_vsync_state[3]             : M19       : output : 3.3-V LVTTL       :         : 5         : Y              
+GND*                         : M20       :        :                   :         : 5         :                
+GND*                         : M21       :        :                   :         : 5         :                
+GND*                         : M22       :        :                   :         : 5         :                
+GND*                         : M23       :        :                   :         : 5         :                
+GND+                         : M24       :        :                   :         : 5         :                
+GND+                         : M25       :        :                   :         : 5         :                
+GND+                         : M26       :        :                   :         : 5         :                
+GND                          : N1        : gnd    :                   :         :           :                
+GND+                         : N2        :        :                   :         : 2         :                
+board_clk                    : N3        : input  : 3.3-V LVTTL       :         : 2         : Y              
+GNDG_PLL1                    : N4        : gnd    :                   :         :           :                
+GNDA_PLL1                    : N5        : gnd    :                   :         :           :                
+GND*                         : N6        :        :                   :         : 2         :                
+seven_seg_pin[8]             : N7        : output : 3.3-V LVTTL       :         : 2         : Y              
+seven_seg_pin[9]             : N8        : output : 3.3-V LVTTL       :         : 2         : Y              
+GND                          : N9        : gnd    :                   :         :           :                
+VCCINT                       : N10       : power  :                   : 1.5V    :           :                
+GND                          : N11       : gnd    :                   :         :           :                
+VCCINT                       : N12       : power  :                   : 1.5V    :           :                
+GND                          : N13       : gnd    :                   :         :           :                
+VCCINT                       : N14       : power  :                   : 1.5V    :           :                
+GND                          : N15       : gnd    :                   :         :           :                
+VCCINT                       : N16       : power  :                   : 1.5V    :           :                
+GND                          : N17       : gnd    :                   :         :           :                
+GND                          : N18       : gnd    :                   :         :           :                
+GND*                         : N19       :        :                   :         : 6         :                
+GND*                         : N20       :        :                   :         : 5         :                
+GND*                         : N21       :        :                   :         : 5         :                
+GNDG_PLL4                    : N22       : gnd    :                   :         :           :                
+GNDA_PLL4                    : N23       : gnd    :                   :         :           :                
+VCCG_PLL4                    : N24       : power  :                   : 1.5V    :           :                
+VCCA_PLL4                    : N25       : power  :                   : 1.5V    :           :                
+GND                          : N26       : gnd    :                   :         :           :                
+GND                          : P1        : gnd    :                   :         :           :                
+GNDG_PLL2                    : P2        : gnd    :                   :         :           :                
+GNDA_PLL2                    : P3        : gnd    :                   :         :           :                
+VCCG_PLL2                    : P4        : power  :                   : 1.5V    :           :                
+VCCA_PLL2                    : P5        : power  :                   : 1.5V    :           :                
+GND*                         : P6        :        :                   :         : 1         :                
+GND*                         : P7        :        :                   :         : 1         :                
+GND*                         : P8        :        :                   :         : 2         :                
+GND                          : P9        : gnd    :                   :         :           :                
+GND                          : P10       : gnd    :                   :         :           :                
+VCCINT                       : P11       : power  :                   : 1.5V    :           :                
+GND                          : P12       : gnd    :                   :         :           :                
+VCCINT                       : P13       : power  :                   : 1.5V    :           :                
+GND                          : P14       : gnd    :                   :         :           :                
+VCCINT                       : P15       : power  :                   : 1.5V    :           :                
+GND                          : P16       : gnd    :                   :         :           :                
+VCCINT                       : P17       : power  :                   : 1.5V    :           :                
+GND                          : P18       : gnd    :                   :         :           :                
+GND*                         : P19       :        :                   :         : 6         :                
+GND*                         : P20       :        :                   :         : 6         :                
+GND*                         : P21       :        :                   :         : 6         :                
+VCCA_PLL3                    : P22       : power  :                   : 1.5V    :           :                
+VCCG_PLL3                    : P23       : power  :                   : 1.5V    :           :                
+GND+                         : P24       :        :                   :         : 6         :                
+GND+                         : P25       :        :                   :         : 6         :                
+GND                          : P26       : gnd    :                   :         :           :                
+GND+                         : R1        :        :                   :         : 1         :                
+GND+                         : R2        :        :                   :         : 1         :                
+GND+                         : R3        :        :                   :         : 1         :                
+seven_seg_pin[10]            : R4        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : R5        :        :                   :         : 1         :                
+seven_seg_pin[11]            : R6        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : R7        :        :                   :         : 1         :                
+seven_seg_pin[0]             : R8        : output : 3.3-V LVTTL       :         : 1         : Y              
+seven_seg_pin[1]             : R9        : output : 3.3-V LVTTL       :         : 1         : Y              
+VCCINT                       : R10       : power  :                   : 1.5V    :           :                
+GND                          : R11       : gnd    :                   :         :           :                
+VCCINT                       : R12       : power  :                   : 1.5V    :           :                
+GND                          : R13       : gnd    :                   :         :           :                
+VCCINT                       : R14       : power  :                   : 1.5V    :           :                
+GND                          : R15       : gnd    :                   :         :           :                
+VCCINT                       : R16       : power  :                   : 1.5V    :           :                
+GND                          : R17       : gnd    :                   :         :           :                
+GND                          : R18       : gnd    :                   :         :           :                
+seven_seg_pin[2]             : R19       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[3]             : R20       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[4]             : R21       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[5]             : R22       : output : 3.3-V LVTTL       :         : 6         : Y              
+seven_seg_pin[6]             : R23       : output : 3.3-V LVTTL       :         : 6         : Y              
+GNDA_PLL3                    : R24       : gnd    :                   :         :           :                
+GNDG_PLL3                    : R25       : gnd    :                   :         :           :                
+GND+                         : R26       :        :                   :         : 6         :                
+VCCIO1                       : T1        : power  :                   : 3.3V    : 1         :                
+seven_seg_pin[13]            : T2        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : T3        :        :                   :         : 1         :                
+r1_pin                       : T4        : output : 3.3-V LVTTL       :         : 1         : Y              
+g1_pin                       : T5        : output : 3.3-V LVTTL       :         : 1         : Y              
+b1_pin                       : T6        : output : 3.3-V LVTTL       :         : 1         : Y              
+r2_pin                       : T7        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND                          : T8        : gnd    :                   :         :           :                
+VCCIO1                       : T9        : power  :                   : 3.3V    : 1         :                
+GND                          : T10       : gnd    :                   :         :           :                
+VCCINT                       : T11       : power  :                   : 1.5V    :           :                
+GND                          : T12       : gnd    :                   :         :           :                
+VCCINT                       : T13       : power  :                   : 1.5V    :           :                
+GND                          : T14       : gnd    :                   :         :           :                
+VCCINT                       : T15       : power  :                   : 1.5V    :           :                
+GND                          : T16       : gnd    :                   :         :           :                
+VCCINT                       : T17       : power  :                   : 1.5V    :           :                
+VCCIO6                       : T18       : power  :                   : 3.3V    : 6         :                
+GND*                         : T19       :        :                   :         : 6         :                
+GND*                         : T20       :        :                   :         : 6         :                
+GND*                         : T21       :        :                   :         : 6         :                
+GND*                         : T22       :        :                   :         : 6         :                
+GND*                         : T23       :        :                   :         : 6         :                
+g2_pin                       : T24       : output : 3.3-V LVTTL       :         : 6         : Y              
+GND*                         : T25       :        :                   :         : 6         :                
+VCCIO6                       : T26       : power  :                   : 3.3V    : 6         :                
+GND*                         : U1        :        :                   :         : 1         :                
+GND*                         : U2        :        :                   :         : 1         :                
+GND*                         : U3        :        :                   :         : 1         :                
+GND*                         : U4        :        :                   :         : 1         :                
+GND*                         : U5        :        :                   :         : 1         :                
+GND*                         : U6        :        :                   :         : 1         :                
+GND*                         : U7        :        :                   :         : 1         :                
+GND*                         : U8        :        :                   :         : 1         :                
+GND*                         : U9        :        :                   :         : 1         :                
+VCCINT                       : U10       : power  :                   : 1.5V    :           :                
+GND                          : U11       : gnd    :                   :         :           :                
+VCCINT                       : U12       : power  :                   : 1.5V    :           :                
+GND                          : U13       : gnd    :                   :         :           :                
+VCCINT                       : U14       : power  :                   : 1.5V    :           :                
+GND                          : U15       : gnd    :                   :         :           :                
+VCCINT                       : U16       : power  :                   : 1.5V    :           :                
+GND                          : U17       : gnd    :                   :         :           :                
+GND*                         : U18       :        :                   :         : 6         :                
+GND*                         : U19       :        :                   :         : 6         :                
+GND*                         : U20       :        :                   :         : 6         :                
+GND*                         : U21       :        :                   :         : 6         :                
+GND*                         : U22       :        :                   :         : 6         :                
+GND*                         : U23       :        :                   :         : 6         :                
+GND*                         : U24       :        :                   :         : 6         :                
+GND*                         : U25       :        :                   :         : 6         :                
+GND*                         : U26       :        :                   :         : 6         :                
+GND*                         : V1        :        :                   :         : 1         :                
+GND*                         : V2        :        :                   :         : 1         :                
+GND*                         : V3        :        :                   :         : 1         :                
+GND*                         : V4        :        :                   :         : 1         :                
+GND*                         : V5        :        :                   :         : 1         :                
+GND*                         : V6        :        :                   :         : 1         :                
+GND                          : V7        : gnd    :                   :         :           :                
+GND*                         : V8        :        :                   :         : 1         :                
+GND                          : V9        : gnd    :                   :         :           :                
+GND                          : V10       : gnd    :                   :         :           :                
+VCCIO8                       : V11       : power  :                   : 3.3V    : 8         :                
+VCCIO8                       : V12       : power  :                   : 3.3V    : 8         :                
+GND                          : V13       : gnd    :                   :         :           :                
+GND                          : V14       : gnd    :                   :         :           :                
+VCCIO7                       : V15       : power  :                   : 3.3V    : 7         :                
+VCCIO7                       : V16       : power  :                   : 3.3V    : 7         :                
+GND                          : V17       : gnd    :                   :         :           :                
+GND                          : V18       : gnd    :                   :         :           :                
+GND*                         : V19       :        :                   :         : 6         :                
+GND                          : V20       : gnd    :                   :         :           :                
+GND*                         : V21       :        :                   :         : 6         :                
+GND*                         : V22       :        :                   :         : 6         :                
+GND*                         : V23       :        :                   :         : 6         :                
+GND*                         : V24       :        :                   :         : 6         :                
+GND*                         : V25       :        :                   :         : 6         :                
+GND*                         : V26       :        :                   :         : 6         :                
+GND*                         : W1        :        :                   :         : 1         :                
+GND*                         : W2        :        :                   :         : 1         :                
+GND*                         : W3        :        :                   :         : 1         :                
+GND*                         : W4        :        :                   :         : 1         :                
+GND*                         : W5        :        :                   :         : 1         :                
+GND*                         : W6        :        :                   :         : 1         :                
+GND*                         : W7        :        :                   :         : 1         :                
+GND*                         : W8        :        :                   :         : 1         :                
+GND*                         : W9        :        :                   :         : 8         :                
+GND*                         : W10       :        :                   :         : 8         :                
+GND                          : W11       : gnd    :                   :         :           :                
+PLL_ENA                      : W12       :        :                   :         : 8         :                
+MSEL2                        : W13       :        :                   :         : 8         :                
+nCEO                         : W14       :        :                   :         : 7         :                
+GND*                         : W15       :        :                   :         : 7         :                
+PORSEL                       : W16       :        :                   :         : 7         :                
+GND*                         : W17       :        :                   :         : 7         :                
+GND*                         : W18       :        :                   :         : 7         :                
+GND*                         : W19       :        :                   :         : 6         :                
+GND*                         : W20       :        :                   :         : 6         :                
+GND*                         : W21       :        :                   :         : 6         :                
+GND*                         : W22       :        :                   :         : 6         :                
+GND*                         : W23       :        :                   :         : 6         :                
+GND*                         : W24       :        :                   :         : 6         :                
+GND*                         : W25       :        :                   :         : 6         :                
+GND*                         : W26       :        :                   :         : 6         :                
+GND*                         : Y1        :        :                   :         : 1         :                
+d_hsync_state[3]             : Y2        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : Y3        :        :                   :         : 1         :                
+GND*                         : Y4        :        :                   :         : 1         :                
+d_hsync_state[0]             : Y5        : output : 3.3-V LVTTL       :         : 1         : Y              
+GND*                         : Y6        :        :                   :         : 1         :                
+GND                          : Y7        : gnd    :                   :         :           :                
+GND*                         : Y8        :        :                   :         : 8         :                
+GND*                         : Y9        :        :                   :         : 8         :                
+GND*                         : Y10       :        :                   :         : 8         :                
+seven_seg_pin[7]             : Y11       : output : 3.3-V LVTTL       :         : 8         : Y              
+MSEL0                        : Y12       :        :                   :         : 8         :                
+MSEL1                        : Y13       :        :                   :         : 8         :                
+nCE                          : Y14       :        :                   :         : 7         :                
+VCCSEL                       : Y15       :        :                   :         : 7         :                
+GND*                         : Y16       :        :                   :         : 7         :                
+GND*                         : Y17       :        :                   :         : 7         :                
+GND*                         : Y18       :        :                   :         : 7         :                
+GND*                         : Y19       :        :                   :         : 7         :                
+GND*                         : Y20       :        :                   :         : 7         :                
+GND                          : Y21       : gnd    :                   :         :           :                
+GND*                         : Y22       :        :                   :         : 6         :                
+d_set_column_counter         : Y23       : output : 3.3-V LVTTL       :         : 6         : Y              
+GND*                         : Y24       :        :                   :         : 6         :                
+GND*                         : Y25       :        :                   :         : 6         :                
+GND*                         : Y26       :        :                   :         : 6         :