bsp3: Angabe wieder original
[dide_16.git] / bsp3 / Angabe / vga_pak.vhd
index 1dff302b2bea0c28e5bd7efadbad11e9acfc3161..7f59eabbae0095655865a0fd341cd6cc0f24519b 100644 (file)
@@ -52,10 +52,7 @@ package vga_pak is
 
   -- define coordinates of rectangle
   constant X_MIN : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0001100100";  -- 100
-  constant X2_MIN : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0010100111";  -- 167
-  constant X3_MIN : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0011101000";  -- 232
-  constant X_MAX : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0101110000";  -- 368
-
+  constant X_MAX : std_logic_vector(COL_CNT_WIDTH-1 downto 0) := "0011001000";  -- 200
   constant Y_MIN : std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "001100100";
   constant Y_MAX : std_logic_vector(LINE_CNT_WIDTH-1 downto 0) := "011001000";