uart: sollte jetzt eigentlich alles gehen
[calu.git] / cpu / src / rs232_tx_arc.vhd
index c7dc886f5e26c2230b6fa62bf7e6df31489edfc5..43d862b1a5c563daff9f1182a744bc2b7837b947 100755 (executable)
@@ -87,7 +87,7 @@ begin
                                tx_rdy <= '0';
                                -- Counter erhoehen um die Zeit einer Bitdauer abzuwarten
                                baud_cnt_next <= baud_cnt + 1;
-                               if baud_cnt = CLK_PER_BAUD then 
+                               if baud_cnt = bd_rate then 
                                        -- wenn die Bitdauer erreicht ist, Counter reseten
                                        baud_cnt_next <= 0;
                                        -- Counter um die einzelen Bits zu versenden