VHDL Grundkonstrukt
[calu.git] / cpu / src / execute_stage_b.vhd
diff --git a/cpu/src/execute_stage_b.vhd b/cpu/src/execute_stage_b.vhd
new file mode 100644 (file)
index 0000000..6b6b621
--- /dev/null
@@ -0,0 +1,25 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.numeric_std.all;
+
+use work.core_pkg.all;
+
+architecture behav of execute_stage is
+
+
+begin
+
+syn: process(sys_clk, reset)
+
+begin
+
+       if (reset = RESET_VALUE) then
+                               
+       elsif rising_edge(sys_clk) then
+               
+       end if;
+       
+end process; 
+
+end behav;
+