added byte enable, tested ldi, ldb, stb
[calu.git] / cpu / src / core_top.vhd
index 14c04c382aa483d59ed24908373b27496eb8f95b..eafb605135bf9a5dcee5c06f88cfc2bb21e6b563 100644 (file)
@@ -10,7 +10,7 @@ entity core_top is
 
        port(
                --System input pins
-                  sys_res_unsync : in std_logic;
+                  sys_res : in std_logic;
                        sys_clk : in std_logic;
 --                     result : out gp_register_t;
 --                     reg_wr_data : out gp_register_t
@@ -63,11 +63,10 @@ architecture behav of core_top is
                 signal gpm_out_pin : gp_register_t;
                 signal nop_pin : std_logic;
                 
-                signal sys_res : std_logic;
-
-                signal vers, vers_nxt : exec2wb_rec;
-
                 signal sync : std_logic_vector(1 to SYNC_STAGES);
+                signal sys_res_n : std_logic;
+                
+                signal vers, vers_nxt : exec2wb_rec;
 begin
 
        fetch_st : fetch_stage
@@ -80,7 +79,7 @@ begin
                port map (
                --System inputs
                        clk => sys_clk, --: in std_logic;
-                       reset => sys_res, --: in std_logic;
+                       reset => sys_res_n, --: in std_logic;
                
                --Data inputs
                        jump_result => jump_result_pin, --: in instruction_addr_t;
@@ -104,7 +103,7 @@ begin
                port map (
                --System inputs
                        clk => sys_clk, --: in std_logic;
-                       reset => sys_res, -- : in std_logic;
+                       reset => sys_res_n, -- : in std_logic;
 
                --Data inputs
                        instruction => instruction_pin, --: in instruction_word_t;
@@ -122,7 +121,7 @@ begin
 
           exec_st : execute_stage
                 generic map('0')
-                port map(sys_clk, sys_res,to_next_stage, reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, gpm_in_pin, result_pin, result_addr_pin,addr_pin,
+                port map(sys_clk, sys_res_n,to_next_stage, reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, gpm_in_pin, result_pin, result_addr_pin,addr_pin,
                 data_pin, alu_jump_pin,brpr_pin, wr_en_pin, dmem_pin,dmem_wr_en_pin,hword_pin,byte_s_pin, gpm_out_pin);
 
 
@@ -147,7 +146,7 @@ begin
 
                        writeback_st : writeback_stage
                 generic map('0', '1')
-                port map(sys_clk, sys_res, vers_nxt.result, vers_nxt.result_addr, vers_nxt.address, vers_nxt.ram_data, vers_nxt.alu_jmp, vers_nxt.br_pred, 
+                port map(sys_clk, sys_res_n, vers_nxt.result, vers_nxt.result_addr, vers_nxt.address, vers_nxt.ram_data, vers_nxt.alu_jmp, vers_nxt.br_pred, 
                 vers_nxt.write_en, vers_nxt.dmem_en, vers_nxt.dmem_write_en, vers_nxt.hword, vers_nxt.byte_s,
                 reg_wr_data_pin, reg_we_pin, reg_w_addr_pin, jump_result_pin, alu_jump_bit_pin,bus_tx, bus_rx, sseg0, sseg1, sseg2, sseg3);
 
@@ -157,32 +156,33 @@ syn: process(sys_clk, sys_res)
 begin
 
        if sys_res = '0' then
-                       vers.result <= (others => '0');
-                       vers.result_addr <= (others => '0');
-                       vers.address <= (others => '0');
-                       vers.ram_data <= (others => '0');
-                       vers.alu_jmp <= '0';
-                       vers.br_pred <= '0';
-                       vers.write_en <= '0';
-                       vers.dmem_en <= '0';
-                       vers.dmem_write_en <= '0';
-                       vers.hword <= '0';
-                       vers.byte_s <= '0';
-                       sync <= (others => '0');
+--                     vers.result <= (others => '0');
+--                     vers.result_addr <= (others => '0');
+--                     vers.address <= (others => '0');
+--                     vers.ram_data <= (others => '0');
+--                     vers.alu_jmp <= '0';
+--                     vers.br_pred <= '0';
+--                     vers.write_en <= '0';
+--                     vers.dmem_en <= '0';
+--                     vers.dmem_write_en <= '0';
+--                     vers.hword <= '0';
+--                     vers.byte_s <= '0';
+       
+               sync <= (others => '0');
+       
        elsif rising_edge(sys_clk) then
-               vers <= vers_nxt;
-               
-               sync(1) <= sys_res_unsync xor RESET_VALUE;
-               for i in 2 to SYNC_STAGES loop
-                       sync(i) <= sync(i - 1);
-               end loop;
-               
+--             vers <= vers_nxt;
+                       sync(1) <= sys_res;
+                       for i in 2 to SYNC_STAGES loop
+                               sync(i) <= sync(i - 1);
+                       end loop;
+                               
        end if;
        
 end process;
 
-sys_res <= sync(SYNC_STAGES);
-               
+sys_res_n <= sync(SYNC_STAGES);
+       
 --init : process(all)
 
 --begin